2022.04.08

CMP: Chip MultiProcessors(和Nodes MultiProcessors区分)

4. Design of a Heterogeneous-ISA Chip Multiprocessor

... It motivates the need for ISA diversity, explores the design space of heterogeneous-ISA CMPs characterized by three diverse ISAS (ARM’s low- power Thumb, the traditionally RISC Alpha, and the high-performance x86-64) and a multitude of microarchitectural parameters, and further demonstrates the effectiveness of a heterogeneous-ISA architecture in terms of its performance and energy efficiency.

探索不同架构和不同微架构配置带来的性能和能耗影响。

考察指令集Thumb, Alpha, x86-64的

  • Code Density
    • RISC定常指令代码密度低
  • Dynamic Instruction Count
    • thumb?
    • x86微码
  • Register Pressure
  • Floating-point and SIMD Support